Detailed Microcontroller Architecture Based on a Hardware Scheduler Engine and Independent Pipeline Registers

  • Lucian ANDRIEȘ Ștefan cel Mare University of Suceava
  • Vasile Gheorghita GAITAN Ștefan cel Mare University of Suceava
Keywords: real time system, static hardware scheduler, microcontroller, pipeline processor

Abstract

In the world of real time operating systems, task switching, communication between threads and synchronization are implemented in software. Some of the mechanisms used may introduce big latencies in task recurrence, task jitter. This kind of problem, which is sporadic, may lead to system failure for safety-critical areas. This issue may occur in the real time systems that have really fast response time as requirements. For this particular example, the tasks are succeeding very fast, resulting in a lot of overhead because of the time spent in task switch. Our research has led us to the conclusion that a microcontroller architecture, based on a static hardware Scheduler and independent Pipeline Registers, will be capable of executing multiple tasks with approximately no delay between every task switch (5 machine cycles). The nMPRA (n Multi-Purpose Register Architecture) architecture, which consists of 2 sets of registers: local such as coprocessor 2 and global such as a peripheral on the slow bus, offers support for preemptive real time operating systems. Both architectures, nMPRA and nHSE (n Hardware Scheduler Engine), complement each other and take the real time operating system programming to a whole new level.

Creative Commons License

References

[1]. Gaitan V. G., Gaitan N. C., Ungurean I., CPU Architecture Based on a Hardware Scheduler and Independent Pipeline Registers, Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. PP, no. 99, p. 1, ISSN: 1063-8210, doi: 10.1109/TVLSI.2014.2346542.
[2]. Andries L., Gaitan G., Dual priority scheduling algorithm used in the nMPRA microcontrollers, System Theory, Control and Computing (ICSTCC), 2014 18th International Conference, p. 43-47, 17-19 Oct. 2014, doi: 10.1109/ICSTCC.2014.6982388.
[3]. ***, https://github.com/grantea/mips32r1_xum.
[4]. ***, MIPS Technologies, Inc. 955 East Arques Avenue Sunnyvale, CA 94085-4521, Document Number: MD00082 Revision 3.02, March 21, 2011.
[5]. Gaitan N. C., Gaitan V. G., Moisuc E.-E. C., Improving interrupt handling in the nMPRA, Development and Application Systems (DAS), 2014, p. 11-15, 15-17 May 2014 doi: 10.1109/DAAS.2014.6842419.
[6]. Gaitan N. C., Andries L., Using Dual Priority scheduling to improve the resource utilization in the nMPRA microcontrollers, Development and Application Systems (DAS), 2014, p. 73-78, 15-17 May 2014, doi: 10.1109/DAAS.2014.6842431.
[7]. Moisuc E.-E. C., Larionescu A.-B., Gaitan V. G., Hardware event treating in nMPRA, Development and Application Systems (DAS), 2014, p. 66-69, 15-17 May 2014, doi: 10.1109/DAAS.2014.6842429.
[8]. Moisuc E.-E. C., Larionescu A.-B., Ungurean I., Hardware event handling in the hardware real-time operating systems, System Theory, Control and Computing (ICSTCC), 2014, p. 54-58, 17-19 Oct. 2014, doi:10.1109/ICSTCC.2014.6982390.
Published
2015-03-15
How to Cite
1.
ANDRIEȘ L, GAITAN VG. Detailed Microcontroller Architecture Based on a Hardware Scheduler Engine and Independent Pipeline Registers. The Annals of “Dunarea de Jos” University of Galati. Fascicle IX, Metallurgy and Materials Science [Internet]. 15Mar.2015 [cited 6May2024];38(1):77-3. Available from: https://www.gup.ugal.ro/ugaljournals/index.php/mms/article/view/1377
Section
Articles

Most read articles by the same author(s)

Obs.: This plugin requires at least one statistics/report plugin to be enabled. If your statistics plugins provide more than one metric then please also select a main metric on the admin's site settings page and/or on the journal manager's settings pages.